sp_preproc

Langue: en

Version: 2010-05-24 (fedora - 01/12/10)

Section: 1 (Commandes utilisateur)

NAME

sp_preproc - SystemPerl Preprocessor

SYNOPSIS

   sp_preproc <file.sp>
 
 

DESCRIPTION

sp_preproc takes a .sp (systemperl) file and creates the SystemC header and C files.

It is generally only executed from the standard build scripts.

ARGUMENTS

--help
Displays this message and program version and exits.
--hier-only
Read only hierarchy information, ignore all signal information. Useful for faster generation of sp_lib files.
--inline
Edit the existing source code ``inline''. Similar to the Verilog-mode AUTOs. Use --inline --noautos to remove the expanded automatics.
--libfile filename
Filename to write a list of sp_cells into, for later use as a --libcell to another sp_preproc run.
--libfile-libcells
Include library cells in the --libfile report.
--libcell
Files listed before --libcell will be preprocessed or inlined as appropriate. Files after noexpand will only be used for resolving references, they will not be linked, linted, or otherwise checked. --nolibcell can be used to re-enable checking of subsequent files.
--M filename
--MMD filename
Write dependencies in Makefile format to the specified filename.
--Mdir dirname
Write preprocessor outputs to the specified directory instead of the current directory.
--ncsc
Create output files compatible with Cadence NC-SystemC.
--nolint
Disable lint style error checks, such as required to run doxygen on the SystemPerl output.
--preproc
Preprocess the code, writing to separate header and cpp files.
--trace-duplicates
Include code to trace submodule signals connected directly to a parent signal, generally for debugging interconnect. Without this switch such signals will be presumed to have the value of their parent module's signal, speeding and compressing traces.
--tree filename
Write a report showing the design hierarchy tree to the specified filename. This format may change, it should not be parsed by tools.
--noautos
With --inline, remove any expanded automatics.
--verbose
Shows which files are being written, or are the same.
--version
Displays program version and exits.
--write-verilog filename
Write the SystemC interconnections in Verilog format to the specified filename. Note this does not include logic, it only contains module ports and cells.
-M filename
Writes the dependency listing (similar to cpp -M) to the specified filename.
-Dvar=value
Sets a define to the given value (similar to cpp -D).
-f file
Parse parameters from the given file.

LANGUAGE

See SystemC::SystemPerl for the language specification.

DISTRIBUTION

SystemPerl is part of the <http://www.veripool.org/> free SystemC software tool suite. The latest version is available from CPAN and from <http://www.veripool.org/systemperl>.

Copyright 2001-2010 by Wilson Snyder. This package is free software; you can redistribute it and/or modify it under the terms of either the GNU Lesser General Public License Version 3 or the Perl Artistic License Version 2.0.

AUTHORS

Wilson Snyder <wsnyder@wsnyder.org>

SEE ALSO

SystemC::Manual SystemC::SystemPerl